Студопедия — Задание на курсовое проектирование
Студопедия Главная Случайная страница Обратная связь

Разделы: Автомобили Астрономия Биология География Дом и сад Другие языки Другое Информатика История Культура Литература Логика Математика Медицина Металлургия Механика Образование Охрана труда Педагогика Политика Право Психология Религия Риторика Социология Спорт Строительство Технология Туризм Физика Философия Финансы Химия Черчение Экология Экономика Электроника

Задание на курсовое проектирование






 

Спроектировать автомат, заданный в виде совмещенной таблицы состояний и выходов. С этой целью выполнить следующие этапы синтеза автомата:

выполнить минимизацию абстрактного автомата;

провести декомпозицию автомата на основе СП-разбиений или при соединении в сеть;

провести кодирование автомата и получить выражения булевых функций возбуждения триггеров и выходных функций;

провести минимизацию функций возбуждения триггеров и выходных функций;

в заданном элементном базисе построить структурную схему заданного автомата из полученных в результате декомпозиции компонентных автоматов;

разработать принципиальную электрическую схему функции возбуждения одного из компонентных автоматов с использованием микросхем выбранной серии.

 

Варианты проектируемых не полностью определенных автоматов:

Вариант № 1

                     
x1 2 / y1 4 / y1 2 / -- 2 / y1 4 / y1 2 / y1 2 / y1 4 / -- 2 / y1 3 / y1
x2 3 / y1 6 / y1 3 / -- 3 / y2 7 / -- 3 / y1 -- / y2 7 / y1 -- / y2 8 / y1
x3 7 /-- 7 / y2 4 / y1 2 / y1 6 / y2 2 / -- 4 / y1 6 / y2 4 / y1 2 / y1
x4 8 / y2 1 / y2 8 / y2 6 / y1 5 / y2 -- / y1 -- / y2 -- / y2 9 / -- -- / --
x5 -- / y1 10/ -- 5 / y1 10 / -- 3 / -- 5 / y2 5 / y1 3 / y1 5 / -- 3 / y1

Вариант № 2

                     
x1 --/y1 10/y1 9/y2 --/y1 10/y1 10/-- 5/-- 10/-- 4/y1 4/y1
x2 3/ y2 3/y2 7/y2 6/y2 3/-- 4/-- 3/y2 3/y2 8/y2 --/y2
x3 7/-- 7/-- 4/y1 7/y2 7/y2 5/y1 8/y2 7/y2 7/y2 7/y2
x4 9/y1 --/y1 --/y1 8/y1 9/y1 7/y1 9/y1 4/y1 --/ y2 8/--
x5 8/y1 --/ y1 5/-- 6/-- 6/-- 1/y1 --/y1 6/y1 6/-- 6/y2

Вариант № 3

                     
x1 2/y1 4/y1 9/-- 2/y1 2/y1 1/y2 5/-- 2/y1 2/-- 3/y1
x2 3/y1 6/y1 4/-- 3/y2 3/-- 7/y2 6/y2 3/y2 4/y2 9/y2
x3 7/-- 7/-- 5/y1 7/y2 7/y2 4/y1 10/y1 7/y2 7/y2 2/y2
x4 --/y2 10/y2 7/y1 1/y1 1/y2 8/y1 8/y1 1/-- 1/y1 --/--
x5 --/y1 9/-- 1/y1 10/-- 10/-- 5/-- --/y1 --/ y2 5/y2 3/y2

Вариант № 4

                     
x1 3/y1 4/-- 10/-- 10/y1 10/y1 4/y2 5/-- 4/-- 2/y1 5/y1
x2 8/y2 6/y2 6/ y2 3/y2 3/-- --/y2 6/y2 6/y2 9/y2 6/y2
x3 2/y1 7/-- 10/y1 2/y1 2/y1 7/y1 --/y1 7/y2 7/y2 7/--
x4 6/-- 10/y1 9/y2 7/y2 7/y2 10/y1 9/y2 --/y1 10/-- 9/y2
x5 5/y2 5/-- 2/y1 1/-- 1/ y2 5/-- 2/y1 5/y2 3/-- --/y1

 

Вариант № 5

                     
x1 6/y2 4/y1 6/-- 4/y2 8/y1 2/y1 6/-- 4/-- 6/y1 3/y1
x2 7/y2 8/y2 7/-- 7/y2 3/-- 3/ y2 7/y2 7/y2 3/y2 8/y2
x3 4/y1 7/-- 1/y1 1/y1 1/y2 7/ y2 --/y1 1/y1 7/y1 2/y1
x4 --/y1 6/y1 5/y1 5/y1 7/y2 4/-- 9/y1 --/y1 5/-- --/ y2
x5 5/-- 10/-- --/y1 10/-- 9/-- --/y1 --/y1 10/y2 1/-- 7/y2

 

Вариант № 6

                     
x1 2/y2 4/y2 1/-- 2/y1 1/y2 10/y1 4/-- 4/ y1 4/y2 1/y2
x2 3/y2 6/y1 4/ y2 8/y1 4/-- 7/y1 6/y1 7/y1 6/y2 4/y2
x3 7/-- 7/-- 6/y2 9/y2 6/y2 4/y2 --/y2 5/y2 7/y2 6/y2
x4 9/y1 1/y2 7/y1 6/y2 7/y1 8/y2 1/y2 2/y2 5/-- 7/--
x5 8/y2 3/-- 10/y2 10/-- 10/-- 5/-- --/y2 5/-- 3/ y2 --/y2

 

Вариант № 7

                     
x1 3/-- 3/y1 7/-- 7/y1 3/-- 7/y1 5/y1 7/y1 8/y1 8/y1
x2 7/ y2 6/-- 4/y2 6/y2 6/y1 4/y2 4/y2 6/y2 2/y1 2/--
x3 5/y2 8/y2 1/-- 2/y1 8/y2 1/y1 1/ y1 2/-- 5/y2 5/y2
x4 10/y1 1/y1 9/y2 6/y2 1/y1 9/y2 9/y2 5/y2 1/-- --/ y2
x5 --/y2 --/ y2 10/y2 10/-- 10/-- 10/-- --/y2 10/y1 3/-- 3/y1

 

Вариант № 8

                     
x1 --/y2 5/-- 1/y2 10/-- 3/-- 5/ y2 --/y2 5/y1 1/-- 3/y1
x2 3/y1 3/y1 6/-- 3/y1 --/ y1 --/y1 6/y1 9/y1 6/y1 8/y2
x3 7/-- 7/ y2 5/y2 2/y2 --/y2 7/y2 --/y2 6/y1 5/y2 2/y2
x4 10/y2 10/y2 4/y2 6/y2 4/y1 10/y2 4/y2 1/y2 4/-- --/--
x5 8/y2 8/y2 2/-- 9/y1 2/y2 8/-- 2/-- 4/-- 2/y2 3/y2

 

 

Вариант № 9

                     
x1 2/y2 4/y2 1/-- 2/y2 8/y2 4/y2 4/-- 4/-- 2/y2 2/y2
x2 3/y2 10/y1 4/-- 8/y1 3/-- 10/y1 10/y1 7/y1 --/y1 8/y1
x3 7/-- 8/-- 5/y2 7/y2 6/y2 8/y2 --/y2 2/y1 7/y2 7/y2
x4 9/y1 9/y2 7/y2 6/y2 1/-- --/y2 9/y2 1/y2 6/-- --/ y2
x5 10/y2 5/-- 4/y1 3/ y1 3/ y1 5/ y2 --/y2 5/y1 3/-- 3/y1

 

Вариант № 10

                     
x1 3/-- 4/y2 1/-- 3/y2 1/y2 8/y1 8/-- 1/y2 2/y2 3/y2
x2 7/y1 6/y1 4/-- 7/y1 4/ y2 10/y1 10/y1 4/y2 9/y1 7/--
x3 6/y2 7/-- 2/y1 6/y2 2/y1 2/y2 2/y2 2/-- 7/-- 6/y2
x4 5/y2 8/y2 7/y1 5/y2 7/y1 --/y2 9/y2 7/y1 8/ y2 5/--
x5 9/y1 3/ y2 10/y2 9/-- 10/-- 5/-- --/y2 --/y2 3/ y1 9/y1

 

Вариант № 11

                     
x1 9/-- 7/y2 7/y2 9/y2 5/y1 9/y2 1/y2 --/y2 7/-- --/ y2
x2 10/y1 6/y1 6/-- 7/y1 1/-- 7/y1 6/y1 7/y1 6/y1 8/y1
x3 7/y1 10/-- 10/y2 2/y2 6/y1 2/y2 9/y2 2/y2 10/ y2 2/y2
x4 2/y2 5/y2 5/-- 1/y1 8/y2 1/y1 5/-- 1/-- 5/y2 3/y2
x5 5/-- 8/-- 8/y2 10/-- 3/-- 10/-- 2/y2 10/y1 8/y2 6/y1

 

Вариант № 12

                     
x1 10/y2 10/y2 9/-- 2/y1 9/y2 9/y1 5/-- --/ y2 5/y2 3/y2
x2 3/-- --/ y2 6/y1 1/-- 6/-- 5/-- 2/y2 6/y1 2/y2 3/y1
x3 7/y1 7/-- 1/y2 2/y2 1/y2 1/y2 --/y2 1/-- 6/-- 2/y2
x4 9/-- 9/y2 7/y2 6/y2 7/y2 --/y2 4/y2 7/y2 4/y2 --/--
x5 6/y1 6/y1 4/-- 3/y1 4/ y1 7/y1 6/y1 4/y1 --/ y1 8/y2

 

Вариант № 13

                     
x1 9/-- 9/y2 9/y2 7/y2 6/y2 5/y1 --/y1 1/y2 --/y2 7/--
x2 10/y1 10/y1 10/-- 1/y1 3/y1 3/-- 3/y1 6/y1 1/y1 1/y1
x3 7/y1 5/-- 5/y2 6/y1 2/y2 4/y1 4/y1 3/y2 6/y1 6/--
x4 2/y2 4/y2 4/-- 3/-- 7/y1 8/y2 8/y2 5/-- 3/ y2 3/y2
x5 3/-- 8/-- 8/y2 5/y1 10/-- 1/ y2 1/-- 4/y2 5/y1 --/y1

 

Вариант № 14

                     
x1 2/y2 4/y2 4/-- 1/-- 1/y2 8/y1 2/y2 1/y2 2/y2 4/--
x2 7/-- 6/y1 6/-- 6/y1 6/-- 7/y1 7/y1 6/y1 7/y1 7/y1
x3 6/y2 7/-- 5/y2 --/y2 9/y2 4/y2 6/y2 9/ y2 6/-- 6/y1
x4 10/-- 8/y2 8/y2 3/y1 3/y1 --/y2 10/y2 3/y1 10/ y2 5/y2
x5 3/y1 5/-- 5/y2 7/y2 7/-- 5/-- 3/-- 7/y2 3/ y1 5/y1

 

Вариант № 15

                     
x1 4/-- 4/y1 6/-- 2/y2 6/y2 2/y1 2/-- 8/y1 2/y1 3/y1
x2 7/y2 1/y2 7/-- 3/y2 7/y2 10/y2 10/y2 3/-- --/y2 8/y1
x3 1/y2 7/-- 4/y1 2/y1 4/y1 4/-- 4/y1 1/y2 4/y1 2/y1
x4 --/y1 6y1 9/y1 1/y1 9/y1 8/y1 8/y1 5/y2 8/-- --/--
x5 5/y2 --/-- 1/y1 10/-- 1/-- 3/y1 3/y1 3/-- 3/-- 3/y2

 

Вариант № 16

                     
x1 --/y2 3/ y1 9/y2 1/-- 3/-- 10/-- 1/y2 5/y1 --/-- 3/y1
x2 3/y2 7/y2 2/-- 9/y1 7/-- 3/y1 9/y1 7/y1 6/y1 7/y2
x3 7/-- 4/y1 5/y2 5/y1 4/y1 2/y2 5/y1 6/y1 7/-- 4/--
x4 10/y1 --/y2 7/y2 10/-- 9/y2 6/y2 10/y2 --/y2 1/y2 9/--
x5 2/y2 1/y1 8/-- 8/y2 1/y1 5/y1 8/-- 4/-- 4/y2 1/y1

 

Вариант № 17

                     
x1 3/y2 4/y2 8/-- 5/-- 7/y2 8/y1 2/y1 2/y2 2/y2 8/--
x2 10/y2 6/y1 7/-- 6/y1 3/-- 7/y1 3/y1 10/y2 10/y1 7/y1
x3 2/y2 7/-- 5/y2 10/y2 1/y1 5/y2 8/y2 5/-- 5/y1 5/y2
x4 6/-- 8/y2 9/y2 9/y2 5/y1 9/y2 6/y2 3/y1 3/-- 9/y2
x5 8/y1 --/-- 1/y2 --/y2 4/-- 1/-- 10/-- 4/y2 4/-- 1/y2

 

Вариант № 18

                     
x1 2/y2 4/y2 1/-- 1/y1 7/y2 1/y1 5/-- 1/ y1 2/y2 2/y2
x2 8/y2 3/y1 7/ y1 --/y1 3/-- 7/y1 6/y1 7/-- 8/-- 8/y2
x3 5/ y2 8/-- 10/y2 10/y2 6/y2 4/y2 1/y2 10/y2 5/y2 2/--
x4 7/y1 10/y2 9/y2 9/y2 5/y1 --/y2 4/y1 --/y2 7/ y1 --/ y1
x5 --/y2 9/-- 6/y1 6/-- 9/-- 5/-- 10/y2 6/y1 3/ y2 3/y2

 

 

Вариант № 19

                     
x1 2/y2 4/y2 8/-- 2/y1 4/y2 9/y1 2/-- 4/-- 2/y2 2/y1
x2 3/y2 6/y1 4/-- 3/y1 6/-- 7/y1 3/y1 6/y1 3/y1 3/--
x3 7/-- 7/-- 5/y2 8/y2 7/y1 4/y2 8/y2 7/y1 7/y1 8/y2
x4 5/y1 1/y2 7/y2 6/y2 1/y2 --/y2 6/y2 1/y2 1/-- 6/--
x5 --/y2 9/-- 9/y2 10/-- 9/ y1 5/-- 10/y2 9/y1 3/-- --/y2

Вариант № 20

                     
x1 2/y2 9/y2 9/-- 2/y1 4/y2 9/y1 9/y2 4/-- 8/y2 4/y2
x2 3/-- 6/-- 6/y2 1/-- 3/-- 5/-- --/y2 5/y1 --/y2 3/y2
x3 7/y1 5/-- --/y2 8/y2 6/y1 1/y2 6/y1 6/y1 7/-- 6/y1
x4 9/-- 4/y2 4/y2 6/y2 9/y1 --/y2 4/y2 9/-- 4/y1 --/ y1
x5 4/y1 10/y1 10/-- 3/y1 1/-- 7/y1 10/y1 1/y1 3/y2 1/--

Вариант № 21

                     
x1 5/y2 4/y1 6/-- 2/y2 6/y1 2/y1 2/-- 4/-- 6/y1 3/y1
x2 7/y2 10/y2 4/ y2 3/y2 4/-- 3/y2 3/y2 7/y2 4/y2 8/y1
x3 4/y1 7/-- 1/y2 7/y1 1/y2 9/-- 9/y1 9/y2 1/y2 2/y1
x4 9/y1 6/y1 7/y1 1/y1 7/y1 1/y1 1/y1 2/y1 7/-- 4/--
x5 5/-- 8/-- 10/y1 10/-- 10/-- 8/y1 8y1 5/-- 10/ y1 5/y2

Вариант № 22

                     
x1 5/y2 10/ y1 1/y2 10/-- 3/-- 5/-- --/y2 --/y1 3/-- 3/y1
x2 3/y2 6/y1 4/-- --/y1 9/-- 7/y1 6/y2 6/y1 8/y2 8/y2
x3 7/-- 7/ y2 5/y2 7/y2 6/y1 4/y2 10/y2 7/y2 3/y1 3/y1
x4 10/y1 1/y2 7/y2 1/y2 5/y1 --/y2 9/y1 --/y2 4/ y1 --/ y1
x5 2/y2 9/y2 1/-- 9/y2 8/y2 1/y1 5/-- 9/-- 2/y2 2/y2

 

Варианты базисов

 

1. Счётный триггер и классический базис {2-И, 2-ИЛИ, НЕ}.

2. Триггер типа «задержка» и классический базис {2-И, 2-ИЛИ, НЕ}.

3. Счётный триггер и элементы {2-ИЛИ-НЕ, 3-ИЛИ-НЕ}.

4. Триггер типа «задержка» и элементы {2-ИЛИ-НЕ, 3-ИЛИ-НЕ}.

5. Счётный триггер и элементы {2-И-НЕ, 3-И-НЕ}.

6. Триггер типа «задержка» и элементы {2-И-НЕ, 3-И-НЕ}.

 

 

Список литературы

 

1. Битюцкий, В. П., Хмелевский И.В.Проектирование автоматов: учебное пособие. - Екатеринбург: ГОУ ВПО УГТУ-УПИ, 2006. - 93 с.

2. Битюцкий, В.П. Проектирование автоматов: Методические указания к курсовому проектированию по дисциплине «Теория автоматов». - Екатеринбург: ГОУ ВПО УГТУ-УПИ, 2006. - 44 с.

3. Глушков, В. М. Синтез цифровых автоматов. – М.: Физматгиз, 1962. – 476 с., ил.

4. Карпов, Ю. Г. Теория автоматов. – СПб.: Питер, 2002. – 224 с.

5. Лазарев, В. Г., Пийль Е. И. Синтез управляющих автоматов. - 3-е изд., перераб. и доп. – М.: Энергоатомиздат, 1989. – 328 с.

6. Лазарев, В. Г., Проектирование дискретных узлов автоматики: Учеб. пособие для вузов связи/ В. Г. Лазарев, Н. П. Маркин, Ю. В. Лазарев. – М.: Радио и связь, 1985. -168 с., ил.

7. Лупал А. М. Теория автоматов. Учеб. пособие/ СПб ГУАП. СПб., 2000. – 119 с., ил.

8. Поспелов, Д. А. Логические методы анализа и синтеза схем. – М.: Энергия, 1975. - 362 с. ил.

9. Потемкин И. С. Функциональные узлы цифровой автоматики.- М.: Энергоатомиздат, 1988.-320с.: ил.

 

Заключение

 

В данном учебно-методическом пособии для курсовой работы изложены основы проектирования цифровых автоматов, заданных таблицами переходов и выходов.







Дата добавления: 2014-11-10; просмотров: 586. Нарушение авторских прав; Мы поможем в написании вашей работы!



Вычисление основной дактилоскопической формулы Вычислением основной дактоформулы обычно занимается следователь. Для этого все десять пальцев разбиваются на пять пар...

Расчетные и графические задания Равновесный объем - это объем, определяемый равенством спроса и предложения...

Кардиналистский и ординалистский подходы Кардиналистский (количественный подход) к анализу полезности основан на представлении о возможности измерения различных благ в условных единицах полезности...

Обзор компонентов Multisim Компоненты – это основа любой схемы, это все элементы, из которых она состоит. Multisim оперирует с двумя категориями...

Меры безопасности при обращении с оружием и боеприпасами 64. Получение (сдача) оружия и боеприпасов для проведения стрельб осуществляется в установленном порядке[1]. 65. Безопасность при проведении стрельб обеспечивается...

Весы настольные циферблатные Весы настольные циферблатные РН-10Ц13 (рис.3.1) выпускаются с наибольшими пределами взвешивания 2...

Хронометражно-табличная методика определения суточного расхода энергии студента Цель: познакомиться с хронометражно-табличным методом опреде­ления суточного расхода энергии...

БИОХИМИЯ ТКАНЕЙ ЗУБА В составе зуба выделяют минерализованные и неминерализованные ткани...

Типология суицида. Феномен суицида (самоубийство или попытка самоубийства) чаще всего связывается с представлением о психологическом кризисе личности...

ОСНОВНЫЕ ТИПЫ МОЗГА ПОЗВОНОЧНЫХ Ихтиопсидный тип мозга характерен для низших позвоночных - рыб и амфибий...

Studopedia.info - Студопедия - 2014-2024 год . (0.012 сек.) русская версия | украинская версия