Студопедия — Подпрограммы – процедуры (Procedure) в языке VHDL
Студопедия Главная Случайная страница Обратная связь

Разделы: Автомобили Астрономия Биология География Дом и сад Другие языки Другое Информатика История Культура Литература Логика Математика Медицина Металлургия Механика Образование Охрана труда Педагогика Политика Право Психология Религия Риторика Социология Спорт Строительство Технология Туризм Физика Философия Финансы Химия Черчение Экология Экономика Электроника

Подпрограммы – процедуры (Procedure) в языке VHDL






1. Доступы к органам брюшной полости, их оценка, анатомическое обоснование, классификация.

2. Лапароцентез и лапаротомия: показания, виды, правила выполнения.

3. Кишечные швы: требования к ним, классификация.

4. Паллиативные операции на желудке.

5. Гастростомии: показания, классификация, техника выполнения.

6. Органосохраняющие операции на желудке.

7. Резекция желудка. Способы Бильрот-1, Бильрот-2 их модификации.

8. Дренирующие операции на желудке.

9. Операции на печени. Типичные и атипичные резекции печени.

10. Методы остановки паренхиматозного кровотечения. Гемостатический шов печени.

11. Доступы к поджелудочной железе.

12. Операции на желчном пузыре: показания, техника выполнения.

13. Операции на общем желчном протоке: показания, техника выполнения.

14. Паллиативные и радикальные операции на тонкой кишке. Виды кишечных анастомозов.

15. Противоестественный задний проход: показания, виды, техника выполнения.

16. Аппендэктомия: оперативные доступы, техника выполнения.

Файл Lekz3_VHDL_Procedure_Red.doc

 

Лекция № 3 (5-й курс)

Вопросы по теме:

 

  1. Объявление подпрограмм процедур
  2. Определение подпрограмм процедур
  3. Вызов подпрограмм процедур
  4. Примеры VHDL проектов основанных на использовании подпрограмм процедур

Подпрограммы – процедуры (Procedure) в языке VHDL

Подпрограмма процедура - это специальный программный комплекс, состоящий из объявления, определения и вызова подпрограммы процедуры дающий возможность включить некоторую автономную часть программного кода, описывающего некоторый фрагмент задачи, в состав головного программного кода.

Итак, подпрограмма-процедура – это специальный программный комплекс, состоящий из объявления, определения и вызова подпрограммы-процедуры, который определяет алгоритм для вычисления значений или описывает поведение некоторой цифровой подсистемы. В отличие от комплекса подпрограмма –функция в комплексе подпрограмма –процедура Вызов подпрограмма -процедуры является оператором.

При работе с комплексом подпрограмма– процедура необходимо четко различать три понятия:

· Объявление процедура;

· Определение процедура;

· Вызов процедура.

Объявление процедуры (Procedure DECLARATION)

Конструкция Объявления процедуры имеет такой синтаксис:

[ metka: ] procedure procedure_name [(formal_parameter_list)]

Как видно из приведенного синтаксиса Объявление процедуры содержит такие конструктивные элементы:

[ metka: ] - метка является не обязательным элементом объявления подпрограммы- процедуры.

Procedure – ключевое слово, определяющее класс подпрограммы языка VHDL;

procedure_name - Имя процедуры. Имя процедуры часто называют идентификатором подпрограммы –процедуры. Имя процедуры располагается после ключевого слова Procedure.

[(formal_parameter_list)] - Список формальных параметров. Он является не обязательным конструктивным элементом. Список формальных параметров может содержать константы, переменные, сигналы и файлы, т.е. программные объекты классов константы, переменные, сигналы и файлы могут использоваться в качестве формальных параметров.. Класс каждого параметра оговаривается соответствующим зарезервированным словом constant, variable, signal или file. Если класс параметра специально не оговорен, то, по умолчанию, он считается constant или variable.

Если в качестве формальных параметров используются константы, переменные, сигналы, то они должны сопровождаться ключевыми словами in, out или inout, оговаривающих режим использования параметра. Ключевыми словами in, out или inout пользователь фактически определяет, является ли параметр входным, выходным или входным/ выходным. Другими словами, режимы in, out или inout определяют направление потока информации, т.е. какие формальные параметры которые могут читаться из процедуры или записываться и использоваться внутри процедуры.

Если в качестве формальных параметров используются константы, переменные и когда режим вида in задан явным образом, но программный класс формального параметра явно не указан, то по умолчанию он считается constant. Когда же режимы вида out или inout заданы явным образом, но программный класс формального параметра явно не указан, то по умолчанию он считается variable.

Заметим, что формальный параметр типа file не сопровождается ключевыми словами указывающим его режим.

При вызове подпрограммы-процедуры формальные параметры заменяются фактическими параметрами. Причем следует соблюдать строгое соответствие между типами формальных и фактических параметров. Если формальный параметр - константа, то фактический параметр должен быть выражением. В случае формальных параметров типа signal, variable или file, фактические параметры должны быть объектами того же самого класса, что и формальные параметры.

Формальные параметры в списке отделяются друг от друга символом;.

Примечание 1: Язык VHDL допускает использовать в теле архитектуры проектируемого цифрового устройства подпрограммы- процедуры без их предварительного объявления.

Примечание 2: Процедура может быть объявлена также без любых параметров.

Примечание 3: Формальным параметрам при Объявления процедуры могутзадаваться начальные значения.

 

Примеры объявлений подпрограмм процедур:

Example 1

procedure Procedure_1 (variable X, Y: inout Real);

 

В этом примере объявление процедуры имеет два формальных параметра: X и Y вещественного типа с режимами inout (двунаправленные режим).

 

Example 2

procedure Proc_1 (constant In1: in Integer; variable O1: out Integer); procedure Proc_2 (signal Sig: inout Bit);

 

В этом примере процедура с идентификатором Proc_1 имеет два формальных параметра: --первый - константа In1, имеющая целочисленный тип и режим in;

-- второй – переменная O1, имеющая целочисленный тип и режим output.

Процедура с идентификатором Proc_2 имеет только один параметр, который является двунаправленным сигналом inout типа BIT.

 

Определение процедуры (procedure DESIGNATE)

Конструкция определения подпрограммы -процедуры имеет такой синтаксис:

-- заголовок определяемой процедуры







Дата добавления: 2015-09-15; просмотров: 1670. Нарушение авторских прав; Мы поможем в написании вашей работы!



Обзор компонентов Multisim Компоненты – это основа любой схемы, это все элементы, из которых она состоит. Multisim оперирует с двумя категориями...

Композиция из абстрактных геометрических фигур Данная композиция состоит из линий, штриховки, абстрактных геометрических форм...

Важнейшие способы обработки и анализа рядов динамики Не во всех случаях эмпирические данные рядов динамики позволяют определить тенденцию изменения явления во времени...

ТЕОРЕТИЧЕСКАЯ МЕХАНИКА Статика является частью теоретической механики, изучающей условия, при ко­торых тело находится под действием заданной системы сил...

Тема: Кинематика поступательного и вращательного движения. 1. Твердое тело начинает вращаться вокруг оси Z с угловой скоростью, проекция которой изменяется со временем 1. Твердое тело начинает вращаться вокруг оси Z с угловой скоростью...

Условия приобретения статуса индивидуального предпринимателя. В соответствии с п. 1 ст. 23 ГК РФ гражданин вправе заниматься предпринимательской деятельностью без образования юридического лица с момента государственной регистрации в качестве индивидуального предпринимателя. Каковы же условия такой регистрации и...

Седалищно-прямокишечная ямка Седалищно-прямокишечная (анальная) ямка, fossa ischiorectalis (ischioanalis) – это парное углубление в области промежности, находящееся по бокам от конечного отдела прямой кишки и седалищных бугров, заполненное жировой клетчаткой, сосудами, нервами и...

Гальванического элемента При контакте двух любых фаз на границе их раздела возникает двойной электрический слой (ДЭС), состоящий из равных по величине, но противоположных по знаку электрических зарядов...

Сущность, виды и функции маркетинга персонала Перснал-маркетинг является новым понятием. В мировой практике маркетинга и управления персоналом он выделился в отдельное направление лишь в начале 90-х гг.XX века...

Разработка товарной и ценовой стратегии фирмы на российском рынке хлебопродуктов В начале 1994 г. английская фирма МОНО совместно с бельгийской ПЮРАТОС приняла решение о начале совместного проекта на российском рынке. Эти фирмы ведут деятельность в сопредельных сферах производства хлебопродуктов. МОНО – крупнейший в Великобритании...

Studopedia.info - Студопедия - 2014-2024 год . (0.01 сек.) русская версия | украинская версия