Студопедия — Исследование счетчиков электрических импульсов. ?блица 1 № импульса Q0 HL1 Q1 HL2 Q2 HL3 Q3 HL4
Студопедия Главная Случайная страница Обратная связь

Разделы: Автомобили Астрономия Биология География Дом и сад Другие языки Другое Информатика История Культура Литература Логика Математика Медицина Металлургия Механика Образование Охрана труда Педагогика Политика Право Психология Религия Риторика Социология Спорт Строительство Технология Туризм Физика Философия Финансы Химия Черчение Экология Экономика Электроника

Исследование счетчиков электрических импульсов. ?блица 1 № импульса Q0 HL1 Q1 HL2 Q2 HL3 Q3 HL4






Краткая теория. Счетчиком называют цифровое устройство осуществляющее счет поступивших на его вход импульсов. Количество импульсов, через которое повторяется исходное состояние счетчика, называют коэффициентом счета (модулем счета) К сч. Счетчики состоят из цепочки последовательно включенных триггеров. Разрядность счетчика, а следовательно, и число триггеров N определяются К сч.

По коэффициенту пересчета различают счетчики двоичные (К сч = 2 N, где N — разрядность счетчика), десятичные (К сч = 10 N, где N — количество декад счетчика), с произвольным постоянным К сч, с изменяемым К сч (программируемые). По направлению счета счетчики делятся на суммирующие, вычитающие, реверсивные. Счетчик называют суммирующим, если после каждого очередного импульса цифровой код на выходе счетчика увеличивается на единицу. В вычитающем счетчике после каждого импульса на входе счетчика цифровой код на выходе уменьшается на единицу. Счетчики, в которых возможно переключение с режима суммирования на режим вычитания, называются реверсивными.

По своей структуре счетчики делятся на последовательные, параллельные и параллельно-последовательные. Последовательный двоичный счетчик образован цепочкой последовательно включенных счетных триггеров. В параллельном счетчике счетные импульсы подаются одновременно на входы всех разрядов счетчика. Параллельные счетчики имеют большее быстродействие по сравнению с последовательными. Параллельно-последовательные счетчики имеют высокое быстродействие и большое значение коэффициента пересчета.

Асинхронный (последовательный) двоичный счетчик. Асинхронные двоичные счетчики строят из цепочки счетных триггеров, соединяя выход предыдущего с входом последующего. Результат счета отображается на выходах счетчика в виде параллельного двоичного кода числа сосчитанных импульсов. Коэффициент счета К сч = 2 N. Так как из 2 N состояний одно приходится на нулевое состояние, то максимальное число, при котором счетчик полностью заполняется единицами, равно 2 N -1.

На рис. 1 изображены четырехразрядный двоичный счетчик на Т-триггерах, срабатывающих по срезу входного сигнала, и временные диаграммы, описывающих его работу. С приходом каждого следующего импульса параллельный двоичный код на выходе счетчика увеличивается на единицу. Число сосчитанных импульсов, после которых счетчик заполняется единицами, равно 15. По срезу 16-го импульса последовательно опрокидываются все триггеры, и счетчик переходит в исходное нулевое состояние. Поэтому 16-й импульс называют также и нулевым.

 

Рис. 1

 

Счетчики с произвольным модулем счета К сч строятся на основе микросхем двоичных и двоично-десятичных счетчиков. Одним из способов получения произвольного значения модуля счета является использование цепи обратной связи, сбрасывающей его в нулевое состояние, как только суммирующий счетчик переходит в состояние, равное К сч.

Для счетчика с К сч = 10 нужны четыре триггера. Счетчик должен иметь десять устойчивых состояний (0, 1, …, 9). В том такте, когда он должен был перейти в одиннадцатое устойчивое состояние (число 10), его необходимо установить в исходное нулевое состояние. Для такого счетчика можно использовать любой четырехразрядный счетчик (рис. 2) с цепями обратной связи с выходов, соответствующих числу 10 (т.е. 2 и 8), на входы установки счетчика в 0 (вход R). В самом начале одиннадцатого состояния на обоих входах элемента И микросхемы появляются логические 1, вырабатывающие сигнал сброса всех триггеров счетчика в нулевое состояние.

Рис. 2

Рассмотренный счетчик является двоичным эквивалентом счетной декады, представляющим любую десятичную цифру ее двоичным кодом. Поэтому такой счетчик называют двоично-десятичным, а его выходной код – двоично-десятичным кодом (или кодом 8421).

Счетчик с предварительной установкой может устанавливаться в начальное состояние, равное любому числу от 0 до К сч – 1. Эта операция осуществляется параллельной записью в счетчик кода необходимого числа. Счет (сложение или вычитание) будет начинаться уже не с нуля, а с установленного числа.

Счетчики с предварительной установкой обычно являются универсальными и могут работать в режиме сложения, вычитания, установки заданного кода, установки (сброса) нуля, например микросхема К155ИЕ7 (рис. 3). Рис. 3

 

Кольцевой счетчик можно получить из регистра сдвига, если выход последнего триггера соединить с D – входом первого (рис. 4). Перед началом счета, импульсом начальной установки, в нулевой разряд счетчика (Q 0) записывается логическая 1, в остальные разряды – логические 0. С началом счета каждый из приходящих счетных импульсов Т переписывает 1 в следующий триггер. Число поступивших импульсов определяется по номеру выхода, на котором имеется 1. Предпоследний (n – 1) – импульс переведет в единичное состояние последний триггер, а n – импульс переписывает единичное состояние на выход нулевого триггера, и счет начинается сначала. Коэффициент счета кольцевого счетчика равен числу триггеров (К сч = N). Изменяя число триггеров N можно построить счетчик с произвольным коэффициентом счета.

 

Рис. 4

 

Главная область применения кольцевых счетчиков – распределители импульсов, создающие необходимую временную последовательность управляющих сигналов.

 

Выполнение работы. Работа выполняется на платах П3 и П5 с использованием технических карт III-1, V-1, V-2, V-3.

Карта III-1 предназначена для исследования последовательного четырехразрядного регистра и кольцевого четырехразрядного счетчика (см. лаб. раб. №3). Для перевода регистра в режим кольцевого счетчика записывают в него 0001, а затем соединяют внешней перемычкой штырьки Y и X (на плате П3). После этого с подачей каждого следующего тактового импульса (SB1) единица будет последовательно смещаться по разрядам счетчика.

Карта V-1 (рис. 5) предназначена для исследования суммирующего счетчика с переменным коэффициентом счета, при этом тумблер SA5 должен находится в положении «1». Счетные импульсы формируются по нажатию кнопки SB2. Для изменения коэффициента счета необходимо какие либо выходы Y 1 – Y 4 соединить перемычками со входами X 1 – X 3. При любых коэффициентах счета (кроме К сч = 16) с одним из выходов счетчика обязательно соединяется вход Х 1. В противном случае коэффициент счета не изменится и будет равен 16.

Карта V-2 (рис. 6) предназначена для исследования вычитающего счетчика. Тумблер SA5 должен находится в положении «0».

КартаV-3 (рис. 7) предназначена для исследования универсального реверсивного счетчика с предустановкой. Тумблеры SA4 – SA1 задают сигналы, которые можно записать в счетчик по нажатию кнопки SB2 (режим предустановки). Тумблер SA5 обеспечивает подачу тактовых импульсов на входы суммирования (+1) или вычитания (- 1). Сброс счетчика производится кнопкой SB3. Информация, записанная в счетчик индицируется как в двоичном (HL2 – HL5), так и в шестнадцатеричном (HG1) кодах. Индикатор HL1, индицирует сигнал переноса в старшие разряды счетчика, как в режиме суммирования, так и в режиме вычитания.

 

  Рис.5. Схема к карте V-1.

 

 

Рис.6. Схема к карте V-2.

 

  Рис.7. Схема к карте V-3.

 

Задание.

1. Включив плату П3 (карта III-1), собрать функциональную схему кольцевого счетчика и исследовать его работу. Заполнить таблицу состояний (табл. 1) и построить экспериментальные диаграммы (рис. 8).

Таблица 1

№ импульса Q0 HL1 Q1 HL2 Q2 HL3 Q3 HL4
         
         
         
         
         
         
         
         
         

 

Рис. 8

 

2. Исследовать функциональную схему четырехразрядного двоичного суммирующего счетчика (плата П5, карта V-1). Первоначально подачей входных импульсов установить на выходе счетчика нулевой код. Затем, подавая одиночные импульсы и используя светодиодную индикацию, заполнить таблицу состояний счетчика в режиме прямого счета (табл. 2).

 

Таблица 2, 3, 4. (нарисовать 3 таблицы)

№ импульса Q3 HL1 Q2 HL2 Q1 HL3 Q0 HL4
         
         
         
         
         
         
         
         
         
         
         
         
         
         
         
         
         
         

3. Изменить схему стенда (карта V-2) с целью реализации режима обратного счета. Заполнить таблицу состояний для указанного режима счетчика (табл. 3).

4. Собрать схему стенда для счетчика с коэффициентом счета, заданным преподавателем (карта V-1). Заполнить таблицу состояний счетчика (табл. 4) и нарисовать временные диаграммы сигналов на входах и выходах счетчика (рис. 9).

 

Рис. 9

 

5. Исследовать работу счетчика на микросхеме К155ИЕ7 в режиме предварительной записи информации, а также в режимах прямого и обратного счета с переполнением или с обнулением счетчика (по заданию преподавателя).

 

Контрольные вопросы.

1. Что называется счетчиком?

2. Какие типы счетчиков Вам известны?

3. Опишите принцип действия кольцевых счетчиков?

4. Опишите принцип действия суммирующего счетчика.

5. Опишите принцип действия вычитающего счетчика.

6. Как задается счет чисел не равных 2N?

7. Особенности реверсивных счетчиков.

 

 

Лабораторная работа №6







Дата добавления: 2014-11-10; просмотров: 561. Нарушение авторских прав; Мы поможем в написании вашей работы!



Картограммы и картодиаграммы Картограммы и картодиаграммы применяются для изображения географической характеристики изучаемых явлений...

Практические расчеты на срез и смятие При изучении темы обратите внимание на основные расчетные предпосылки и условности расчета...

Функция спроса населения на данный товар Функция спроса населения на данный товар: Qd=7-Р. Функция предложения: Qs= -5+2Р,где...

Аальтернативная стоимость. Кривая производственных возможностей В экономике Буридании есть 100 ед. труда с производительностью 4 м ткани или 2 кг мяса...

Влияние первой русской революции 1905-1907 гг. на Казахстан. Революция в России (1905-1907 гг.), дала первый толчок политическому пробуждению трудящихся Казахстана, развитию национально-освободительного рабочего движения против гнета. В Казахстане, находившемся далеко от политических центров Российской империи...

Виды сухожильных швов После выделения культи сухожилия и эвакуации гематомы приступают к восстановлению целостности сухожилия...

КОНСТРУКЦИЯ КОЛЕСНОЙ ПАРЫ ВАГОНА Тип колёсной пары определяется типом оси и диаметром колес. Согласно ГОСТ 4835-2006* устанавливаются типы колесных пар для грузовых вагонов с осями РУ1Ш и РВ2Ш и колесами диаметром по кругу катания 957 мм. Номинальный диаметр колеса – 950 мм...

Тема: Изучение фенотипов местных сортов растений Цель: расширить знания о задачах современной селекции. Оборудование:пакетики семян различных сортов томатов...

Тема: Составление цепи питания Цель: расширить знания о биотических факторах среды. Оборудование:гербарные растения...

В эволюции растений и животных. Цель: выявить ароморфозы и идиоадаптации у растений Цель: выявить ароморфозы и идиоадаптации у растений. Оборудование: гербарные растения, чучела хордовых (рыб, земноводных, птиц, пресмыкающихся, млекопитающих), коллекции насекомых, влажные препараты паразитических червей, мох, хвощ, папоротник...

Studopedia.info - Студопедия - 2014-2024 год . (0.009 сек.) русская версия | украинская версия