Студопедия — Двоичные счетчики. Общие сведения.
Студопедия Главная Случайная страница Обратная связь

Разделы: Автомобили Астрономия Биология География Дом и сад Другие языки Другое Информатика История Культура Литература Логика Математика Медицина Металлургия Механика Образование Охрана труда Педагогика Политика Право Психология Религия Риторика Социология Спорт Строительство Технология Туризм Физика Философия Финансы Химия Черчение Экология Экономика Электроника

Двоичные счетчики. Общие сведения.






Счетчик - цифровой узел, который осуществляет счет поступающих на вход импульсов. Результат счета определяется числом поступивших импульсов, формируется на выходе в заданном коде (двоичном, двоично-десятичном, для 7-ми семисегментного индикатора и т.п.) и может сохраняться требуемое время. Основой счетчика служит линейка триггеров, соединенных определенным образом. Число триггеров определяет разрядность числового кода счетчика.

Счетчики применяются для деления (уменьшения) частоты следования импульсов, формирование интервалов времени, создания адресных кодов, при генерировании сигналов различной формы и т. д.

Основным параметром счетчика является модуль счета, определяемый максимальным числом единичных сигналов, которое может быть сосчитано счетчиком. Счетчик, содержащий n двоичных разрядов, имеет модуль счета 2n.

Счетчики классифицируются по ряду признаков.

По направлению счета:

1) суммирующие счетчики (с прямым счетом);

2) вычитающие счетчики (с обратным счетом);

3) реверсивные счетчики (с прямым и обратным счетом).

По способу переноса сигнала в следующий разряд:

1) последовательный перенос;

2) параллельный перенос;

3) комбинированный (последовательно-паралельный) перенос.

В суммирующем счетчике при поступлении каждого счетного импульса содержимое счета увеличивается. В вычитающем счетчике с приходом каждого импульса содержимое счета уменьшается. Счетчик, способный менять направление счета, называется реверсивным.

При последовательном переносе триггеры счетчика соединяются последовательно. Счетный импульс поступает на первый триггер счетчика. На выходе этого триггера формируется сигнал переноса (например, спад импульса), который приводит к изменению состояния следующего триггера и т.д. Достоинство такой схемы счетчика - простота реализации, недостаток - низкое быстродействие. Быстродействие триггера в счетчике определяется задержкой переключения триггера и при последовательном переносе общая задержка счетчика увеличивается с увеличением числа триггеров.

При параллельном переносе триггеры соединяются между собой через логические элементы И. Через эти же логические элементы на все триггеры поступают счетные импульсы. Логические элементы И определяют условия переключения триггеров. Переключение происходит только тех триггеров, у которых все предыдущие триггеры находятся в единичном состоянии. Достоинство такой схемы счетчика – быстродействие, которое определяется только задержкой переключения одного триггера, недостаток – усложнение схемы из-за введения дополнительных логических элементов И с нарастающим от триггера к триггеру числом входов.

При построении многоразрядных счетчиков для уменьшения сложности схемы счетчика и сохранения быстродействия счетчики объединяются в группы. Внутри группы организуется параллельный перенос, а между группами осуществляется последовательный перенос.

Обычно счетчики строятся на основе Т -триггеров. Кроме Т -триггера может быть использован D -триггер или JK -триггер в счетном режиме.

 

Задание 2. Изучить работу двухразрядного двоичного счетчика и составить для него таблицу состояний.

Соберите представленную на рис. 7.2 схему последовательно соединенных D - и JK -триггеров. Сделайте дополнительные соединения, чтобы триггеры работали в счетном режиме. Полученная схема является схемой двухразрядного двоичного счетчика.

 

Рис. 7.2. Монтажная схема двухразрядного двоичного счетчика с индикацией выходов

 

Сброс (установка в ноль) триггеров счетчика происходит при подаче лог. 0 на входы R триггеров. В рабочем режиме на входах R и S – лог. 1.

Подавая на вход С первого триггера счетные импульсы, определите состояние триггеров в зависимости от номера импульса. Полученные результаты занесите в табл. 7.4.

 

Таблица 7.4. Таблица состояний двухразрядного двоичного счетчика.

Начальное состояние триггера 1-й импульс 2-й импульс 3-й импульс 4-й импульс
Q1 Q2 Q1 Q2 Q1 Q2 Q1 Q2 Q1 Q2
                   

 

Задание 3. Изучить работу двоичного счетчика. Составить таблицу состояний двоичного счетчика для заданного коэффициента счета.

На рис. 7.3 приведено условное изображение двоичного счетчика (микросхема К555ИЕ5). Счетчик состоит из четырех счетных триггеров. Первый триггер имеет отдельный счетный вход С1 и выход «1». Три оставшихся триггера соединены последовательно между собой, имеют счетный вход С2 и выходы 2, 4, 8. При соединении выхода 1 с входом С2 вся цепочка триггеров образует четырехразрядный двоичный счетчик с последовательным переносом со счетным входом С1 и выходами 1, 2, 4 и 8.

 

Рис. 7.3. Четырехразрядный двоичный счетчик: а) – условное обозначение (микросхема К555ИЕ5); б) – монтажная схема с индикацией выходов.

 

Для рассматриваемой микросхемы изменение состояния счетчика происходит по спаду счетного импульса. На условном обозначении счетчика управление по спаду обозначается косой чертой «\» на счетных входах С1 и С2.

Счетчик имеет два входа установки в ноль, объединенные по схеме И (&, R). Сброс (установка в ноль) счетчика происходит при подаче лог. 1 на оба входа.

Работу двоичного счетчика можно представить по его временной диаграмме (рис. 7.4.). Из диаграммы видно, что начальное (до поступления счетных импульсов на вход С1) состояние счетчика нулевое (код на выходе счетчика 0000). Изменение состояния выходов счетчика происходит по спаду счетных импульсов и после 16-го импульса счетчик опять вернется в нулевое состояние.

 

Рис. 7.4. Временная диаграмма работы четырехразрядного двоичного счетчика

 

Наличие входов установки в ноль, объединенных по схеме И позволяет строить счетчики с разным коэффициентом счета. Для этого необходимо соединить с обоими входами (&, R) выходы счетчика, на которых при выбранном коэффициенте счета одновременно появляется лог. 1. При этом счетчик сбрасывается в ноль и начинает считать сначала. Коэффициент счета выдается преподавателем согласно вариантам, представленным в табл. 7.5.

 

Таблица 7.5

Вариант              
Коэффициент счета              

 

Соберите на стенде монтажную схему двоичного счетчика, представленную на рис. 7.3.

Основываясь на диаграмме работы счетчика (рис. 7.4), соедините входы &, R с выходами счетчика, необходимыми для получения заданного коэффициента счета. Установите предварительно счетчик в нулевое состояние и, подавая на вход С1 счетные импульсы, определите состояние выходов счетчика в зависимости от номера счетного импульса. По полученным результатам составьте таблицу состояний счетчика (табл. 7.6).

 

Таблица 7.6. Таблица состояния двоичного счетчика.

Выход счетчика Номер счетного импульса на входе С1
                               
                                 
                                 
                                 
                                 

Задание 4. Составить временную диаграмму работы счетчика.

На рис. 7.5 представлена монтажная схема четырехразрядного двоично-десятичного счетчика с последовательным переносом и индикацией выходов (микросхема К555ИЕ2). Структура построения счетчика аналогична структуре счетчика на микросхеме К555ИЕ5. Для уменьшения коэффициента счета внутри счетчика введены дополнительные логические связи, досрочно обнуляющие счетчик по достижению им заданного коэффициента счета.

 

 

Рис. 7.5. Монтажная схема четырехразрядного двоично-десятичного счетчика (микросхема К555ИЕ2) с индикацией выходов

 

Входы &и R, объединенные по схеме И, служат для установки счетчика в нулевое состояние. Входы &, R9, также объединенные по схеме И, служат для установки счетчика в состояние «9». В этом состоянии первый и четвертый триггеры находятся в единичном состоянии, а остальные – в нулевом (код на выходе счетчика 1001).

Соберите на стенде схему двоично-десятичного счетчика (рис. 7.5) и составьте временную диаграмму его работы. Диаграмма составляется для одного из двух вариантов, представленных в таблице 7.6. Вариант выдает преподаватель.

 

Таблица 7.6

Вариант Код на выходе счетчика при начальной установке
   
   

 

Установите счетчик в начальное состояние, согласно вашему варианту, и, подавая на вход счетные импульсы, составьте временную диаграмму работы счетчика. Диаграмма составляется с помощью показаний индикаторов выходов и наносится на рис. 7.6.

 

Рис. 7.6. Временная диаграмма работы двоично-десятичного счетчика.

 

Контрольные вопросы:

1. Нарисовать схему n -разрядного регистра хранения.

2. Объяснить логику работы универсального регистра.

3. Нарисовать схему соединений двух регистров для обмена информацией в параллельном коде.

4. Нарисовать схему соединений двух регистров для обмена информацией в последовательном коде.

5. Нарисовать схему n -разрядного счетчика с последовательным переносом.

6. Чем определяется предельная скорость счета такого многоразрядного счетчика?

7. В каком режиме работают триггеры в двоичном счетчике?

8. Чем отличается двоично-десятичный счетчик от двоичного счетчика?

9. Использование счетчика в качестве делителя частоты повторения импульсов.

 

Отчет по лабораторной работе должен включать:

1. Исследуемые схемы на основе логических элементов.

2. Результаты измерений, сведенные в соответствующие таблицы.

3. Выводы по каждой схеме.

4. Ответы на контрольные вопросы.

 

ЛИТЕРАТУРА:

1. Цифровые устройства и микропроцессоры. Нарышкин Ф.К., М.: Академия, 2007, 320с.

2. Информационно-измерительная техника и электроника. Под редакцией Раннева Г.Г., М.: Академия, 2007, 520с.







Дата добавления: 2015-09-04; просмотров: 4690. Нарушение авторских прав; Мы поможем в написании вашей работы!



Обзор компонентов Multisim Компоненты – это основа любой схемы, это все элементы, из которых она состоит. Multisim оперирует с двумя категориями...

Композиция из абстрактных геометрических фигур Данная композиция состоит из линий, штриховки, абстрактных геометрических форм...

Важнейшие способы обработки и анализа рядов динамики Не во всех случаях эмпирические данные рядов динамики позволяют определить тенденцию изменения явления во времени...

ТЕОРЕТИЧЕСКАЯ МЕХАНИКА Статика является частью теоретической механики, изучающей условия, при ко­торых тело находится под действием заданной системы сил...

Разновидности сальников для насосов и правильный уход за ними   Сальники, используемые в насосном оборудовании, служат для герметизации пространства образованного кожухом и рабочим валом, выходящим через корпус наружу...

Дренирование желчных протоков Показаниями к дренированию желчных протоков являются декомпрессия на фоне внутрипротоковой гипертензии, интраоперационная холангиография, контроль за динамикой восстановления пассажа желчи в 12-перстную кишку...

Деятельность сестер милосердия общин Красного Креста ярко проявилась в период Тритоны – интервалы, в которых содержится три тона. К тритонам относятся увеличенная кварта (ув.4) и уменьшенная квинта (ум.5). Их можно построить на ступенях натурального и гармонического мажора и минора.  ...

Обзор компонентов Multisim Компоненты – это основа любой схемы, это все элементы, из которых она состоит...

Кран машиниста усл. № 394 – назначение и устройство Кран машиниста условный номер 394 предназначен для управления тормозами поезда...

Приложение Г: Особенности заполнение справки формы ву-45   После выполнения полного опробования тормозов, а так же после сокращенного, если предварительно на станции было произведено полное опробование тормозов состава от стационарной установки с автоматической регистрацией параметров или без...

Studopedia.info - Студопедия - 2014-2024 год . (0.026 сек.) русская версия | украинская версия