Студопедия — Исследование триггеров RS, D и Т типов
Студопедия Главная Случайная страница Обратная связь

Разделы: Автомобили Астрономия Биология География Дом и сад Другие языки Другое Информатика История Культура Литература Логика Математика Медицина Металлургия Механика Образование Охрана труда Педагогика Политика Право Психология Религия Риторика Социология Спорт Строительство Технология Туризм Физика Философия Финансы Химия Черчение Экология Экономика Электроника

Исследование триггеров RS, D и Т типов






Цель работы. Исследовать устройство и принцип действия триггеров RS, D и Т типов.

Краткая теория. Триггер - это устройство последовательного типа с двумя устойчивыми состояниями равновесия, предназначенное для записи и хранения информации. Под действием входных сигналов триггер может переключаться из одного устойчивого состояния в другое. При этом напряжение на его выходе скачкообразно изменяется.

Как правило, триггер имеет два выхода: прямой и инверсный. Число входов зависит от структуры и функций, выполняемых триггером. По способу записи информации триггеры делят на асинхронные и синхронизируемые (тактируемые). В асинхронных триггерах информация может записываться непрерывно и определяется информационными сигналами, действующими на входах в данный момент времени. Если информация заносится в триггер только в момент действия, так называемого синхронизирующего сигнала, то такой триггер называют синхронизируемым или тактируемым. Помимо информационных входов тактируемые триггеры имеют тактовый вход синхронизации. В цифровой технике приняты следующие обозначения входов триггеров:

S - раздельный вход установки в единичное состояние (напряжение высокого уровня на прямом выходе Q);

R - раздельный вход установки в нулевое состояние (напряжение низкого уровня на прямом выходе Q);

D - информационный вход (на него подается информация, предназначенная для занесения в триггер);

C - вход синхронизации;

Т - счетный вход.

Наибольшее распространение в цифровых устройствах получили RS-триггер с двумя установочными входами, тактируемый D-триггер и счетный Т-триггер. Рассмотрим функциональные возможности каждого из них.

Асинхронный RS-триггер. В зависимости от логической структуры различают RS-триггеры с прямыми и инверсными входами. Их схемы и условные обозначения приведены на рисунке 1. Триггеры такого типа построены на двух логических элементах: 2ИЛИ-НЕ - триггер с прямыми входами (а), 2И-НЕ - триггер с инверсными входами (б). Выход каждого из элементов подключен к одному из входов другого элемента.

Уровень входного сигнала, однозначно определяющий логический уровень выходного сигнала триггера называется активным уровнем. Для элементов ИЛИ-НЕ за активный уровень принимают высокий уровень, а для элементов И-НЕ – низкий уровень. Уровни, подача которых на один из входов не приводит к изменению логического уровня на выходе элемента, называют пассивным.

 

Рис. 1

Для триггера с прямыми входами Q=1 ( =0) при S=1 и R=0 (режим записи 1); Q=0 ( =1) при S = 0 и R = 1 (режим записи 0); при S=R=0 триггер сохраняет предыдущее состояние (режим хранения информации). При S=R=1 состояние триггера будет неопределенным, так как во время действия информационных сигналов логические уровни на выходах триггера одинаковы (Q= =0), а после окончания их действия триггер может равновероятно принять любое из устойчивых состояний. Поэтому такая комбинация является запрещенной.

Для триггера с инверсными входами режим записи логической 1 реализуется при =0, =1, режим записи логического 0 – при =1, =0. При = =1 обеспечивается хранение информации. Комбинация = =0 является запрещенной.

Недостатками RS-триггера являются: наличие запрещенной комбинации входных сигналов, подача информации по двум отдельным цепям (R, S), низкая помехоустойчивость.

Синхронный D-триггер. Он имеет информационный вход (D) и вход синхронизации (C). Одна из возможных структурных схем D-триггера и его условное обозначение показаны на рисунке 2.

Рис. 2

 

Если уровень сигнала на входе C= 0, состояние триггера устойчиво и не зависит от уровня сигнала на информационном входе. При этом на входы RS-триггера с инверсными входами (элементы 3 и 4) поступают пассивные уровни ( = =1). При подаче на вход синхронизации уровня С=1 информация на прямом выходе будет повторять информацию, подаваемую на вход D.

На рисунке 3 изображены временные диаграммы тактируемого D-триггера. В таком триггере происходит задержка сигнала на выходе по отношению к сигналу, поданному на вход на время паузы между синхросигналами. Для устойчивой работы триггера необходимо, чтобы в течение синхроимпульса информация на входе была неизменной.

Тактируемые D-триггеры могут быть с потенциальным и динамическим управлением. У первых из них информация записывается в течение времени, при котором уровень сигнала С=1. В триггерах с динамическим управлением информация записывается только в течение перепада напряжения на входе синхронизации. Динамические входы изображают на схемах треугольником. Если вершина треугольника обращена в сторону микросхемы, то триггер срабатывает по фронту входного импульса, если от нее - по срезу. Еще в схемах вы встретите / и \ обозначения первое соответственно фронт второе спад. В таком триггере информация на выходе может быть задержана на один такт по отношению к входной информации.

Рис. 4

Счетный Т-триггер (рис. 4, а). Его называют также триггером со счетным входом. Он имеет один управляющий вход Т и два выхода Q и . Информация на выходе такого триггера меняется на противоположную при каждом положительном (или при каждом отрицательном) перепаде напряжения на входе. Триггер такого типа может быть создан на базе тактируемого D-триггера, если его инверсный выход соединить с информационным входом (рис 4, б). Как видно из диаграммы на рис. 4, в, частота сигнала на выходе Т-триггера в два раза ниже частоты сигнала на входе, поэтому такой триггер можно использовать как делитель частоты и двоичный счетчик.

Выполнение работы. Работа выполняется на плате П2 с технологическими картами II-1, II-2, II-4 и II-7. Карта II-1 позволяет провести исследования RS-триггера на элементах ИЛИ-НЕ. Для этого триггера активным входным уровнем является логическая 1. Уровни входных сигналов задаются кнопками SB2 и SB3, индицируются светодиодами HL1 и HL2. Уровни выходных сигналов индицируются светодиодами HL3 и HL4.

Рис. 5. Схема к карте II-1. Рис. 6 Схема к карте II-2.
Рис.7. Схема к карте II-4. Рис.8. Схема к карте II-7.

 

С помощью карты II-2 исследуется RS-триггер на элементах И-НЕ. Для него активным входным уровнем является логический 0. Уровень входных сигналов индицируется в инверсном коде (через инверторы D2.1 и D2.3) светодиодами HL1 и HL2. То есть при входном сигнале Х1 (или Х2) равном логическому 0, светодиод HL1 (или HL2) горит. При не нажатых кнопках SB2 и SB3 триггер находится в режиме хранения информации.

Карта II-4 предназначена для исследования тактируемого D-триггера. Уровень сигнала на D-входе определяется по положению тумблера SA1 (вверх – 1, вниз – 0). При нажатой кнопке SB1 на С-входе низкий уровень, при не нажатой – высокий.

Карта II-7 позволяет исследовать счетный Т-триггер. Для этого необходимо на плате с помощью проводка инверсный выход Y1 D-триггера соединить с D-входом (Х2).

 

Задание.

1. Исследовать RS-триггера с прямыми входами (рис. 5). Подавая на входы триггера различные наборы логических переменных согласно таб. 1, заполнить ее. Постройте экспериментальные диаграммы S = f(t), R = f(t) и Q = f(t) = f(t) (рис. 9).

 

Таблица 1.

R (HL1) S (HL2) Q (HL3) (HL4)   Режим работы
         
         
         
         
         
         
         

 

Рис. 9

2. Исследовать RS-триггера с инверсными входами (рис. 6). Подавая на входы триггера различные наборы логических переменных согласно таб. 2, заполнить ее. Постройте экспериментальные диаграммы = f(t), = f(t) и Q = f(t) = f(t) (рис. 10).

Таблица 2.

() () Q (HL3) (HL4)   Режим работы
         
         
         
         
         
         
         

 

Рис. 10

 

 

3. Исследовать синхронного D-триггера (рис. 7). Подавая на входы D и C схемы триггера, изменяющиеся во времени согласно их диаграммам (рис. 11), логические сигналы, постройте экспериментальную диаграмму Q = f(t), синхронную с диаграммами С = f(t) и D = f(t).

 

Рис. 11

 

4. Исследовать Т-триггер (рис. 8). Подавая на вход С периодическую последовательность импульсов, нарисуйте временные диаграммы С = f(t) и Q = f(t), (рис. 12).

 

Рис.12

Контрольные вопросы.

1. Что называется триггером?

2. Какие триггеры называются асинхронными, а какие синхронными?

3. Какие выходы бывают у триггеров, как их обозначают?

4. Какими символами обозначаются входы триггеров и что эти символы означают?

5. Опишите схему RS -триггера с прямыми входами.

6. Каким будет состояние RS -триггера на элементах И-НЕ при R =0 и S =1? При R =1 и S =0?

7. Каким будет состояние RS -триггера на элементах ИЛИ–НЕ при R =0 и S =1? При R =1 и S =0?

8. Начертите схему D -триггера и объясните при каких входных уровнях триггер будет оставаться в режиме хранения информации?

9. Что такое динамический триггер?

10.Как обозначают вход синхронизации (С) динамического триггера, переключающегося по переднему и заднему фронтам синхроимпульса?

 

11. Какой логический уровень установится на инверсном выходе D -триггера при D =1 и С =1?

12. Как получить Т -триггер, имея в наличии D -триггер?

Лабораторная работа №3

Исследование параллельного и последовательного регистров

 

Цель работы. Исследовать устройство и принцип действия параллельного и последовательного регистров.

Краткая теория. Регистрами называются устройства, выполняющие функции приема, хранения, и передачи информации. Информация в регистре хранится в виде числа (слова), представленного комбинацией сигналов " 0" и " 1". Каждому разряду числа, записываемому в регистр, соответствует свой разряд регистра. Обычно регистры выполняются на триггерах. Триггер с номером i является i -м разрядом регистра и представляет i -й разряд двоичного слова х n, … х 2, х 1, где х i (i = 1, 2, 3,..., n) – двоичное значение переменной данного разряда, равное 0 или 1.

Основными типами регистров являются параллельные и последовательные (сдвигающие).

В параллельном регистре на тактируемых D –триггерах (рис. 1) код запоминаемого числа подается на информационные входы всех триггеров и записывается в регистр с приходом тактового импульса. Выходная информация изменяется с подачей нового кода и приходом следующего импульса записи. Такие регистры используются в системах оперативной памяти. Число триггеров в них равно максимальной разрядности хранимых слов.

Рис. 1

 

Схема последовательного регистра и временная диаграмма, иллюстрирующая его работу, приведены на рисунке 2. По приходу тактового импульса С первый триггер записывает код X (0 или 1), находящийся в этот момент на его входе D, а каждый следующий триггер переключается в состояние, в котором до этого находился предыдущий. Так происходит потому, что записываемый сигнал проходит со входа D триггера к выходу Q с задержкой, большей длительности фронта тактового импульса (в течение которого происходит запись). Каждый тактовый импульс последовательно сдвигает код числа в регистре на один разряд. Поэтому для записи n-разрядного кода требуется n тактов. На диаграмме видно, что четырёх разрядное число 1011 было записано в соответствующие разряды регистра (1-Q4, 0-Q3, 1-Q2, 1-Q1) после прихода четвёртого тактового импульса. До прихода следующего тактового импульса это число хранится в регистре в виде параллельного кода на выходах Q4 - Q1. Если необходимо получить последовательную информацию в последовательном коде, то её снимают с выхода Q4 в момент прихода следующих четырёх импульсов (5 – 9) такой режим называется режимом последовательного считывания.

Рис. 2

 

Очень удобны универсальные регистры, позволяющие производить как последовательную, так и параллельную запись и считывание. Такие регистры можно использовать в качестве преобразователя параллельного кода в последовательный и обратно. Например, микросхема К155ИР1 - четырёх разрядный универсальный сдвиговый регистр рисунок 3. Регистр работает в режиме сдвига по тактовым импульсам, поступающим на вход С1, если на входе V имеется напряжение низкого уровня. Вход D0 служит для ввода информации в первый разряд регистра в этом режиме. Если же на входе V напряжение высокого уровня, то регистр производит параллельную запись информации со входов D1-D4 по импульсам синхронизации, поступающим на вход С2.

Рис. 3

 

 

Выполнение работы. Работа выполняется на плате П3 с технологическими картами III-1, III-2. Карта III-1 (рис. 4) предназначена для исследования последовательного четырех разрядного регистра. Универсальный регистр К155ИР1 (D1) переводится в последовательный режим при сигнале управления V=0, поэтому при работе с этой картой тумблер SA5 должен находится в положении «0». Входная информация подается кнопкой SB2 (без индикации). Кнопка «отпущена» - D=1, кнопка «нажата» - D=0.

  Рис. 4. Схема к карте III-1.

 

Карта III-2 (рис. 5) позволяет исследовать работу К155ИР1 в режиме параллельного регистра, для этого необходимо тумблер SA5 перевести в положение «1» (V=1). Входная информация задается тумблерами SA1 – SA4 и индицируется светодиодами HL1 – HL4. Выходная информация индицируется светодиодами HL5 – HL8.

Синхронизирующие импульсы задаются кнопкой SB1.

 

  Рис. 5. Схема к карте III-2.

 

Задание.

1. Исследовать последовательный регистр. Записать в регистр двоичные коды чисел указанных преподавателем. Постройте экспериментальные диаграммы С=f(t), D=f(t), Q1=f(t), Q2=f(t), Q3=f(t), Q4=f(t) (рис. 6).

Рис. 6

 

 

2. Исследовать параллельный регистр. Записать в регистр двоичные коды чисел указанных преподавателем. Постройте экспериментальные диаграммы С=f(t), D1=f(t), D2=f(t), D3=f(t), D4=f(t), Q1=f(t), Q2=f(t), Q3=f(t), Q4=f(t) (рис. 7).

Рис.7

 

Контрольные вопросы.

1. Что такое регистры? Каково их назначение?

2.Чем определяется разрядность регистров?







Дата добавления: 2014-11-10; просмотров: 3057. Нарушение авторских прав; Мы поможем в написании вашей работы!



Вычисление основной дактилоскопической формулы Вычислением основной дактоформулы обычно занимается следователь. Для этого все десять пальцев разбиваются на пять пар...

Расчетные и графические задания Равновесный объем - это объем, определяемый равенством спроса и предложения...

Кардиналистский и ординалистский подходы Кардиналистский (количественный подход) к анализу полезности основан на представлении о возможности измерения различных благ в условных единицах полезности...

Обзор компонентов Multisim Компоненты – это основа любой схемы, это все элементы, из которых она состоит. Multisim оперирует с двумя категориями...

ТЕОРИЯ ЗАЩИТНЫХ МЕХАНИЗМОВ ЛИЧНОСТИ В современной психологической литературе встречаются различные термины, касающиеся феноменов защиты...

Этические проблемы проведения экспериментов на человеке и животных В настоящее время четко определены новые подходы и требования к биомедицинским исследованиям...

Классификация потерь населения в очагах поражения в военное время Ядерное, химическое и бактериологическое (биологическое) оружие является оружием массового поражения...

Анализ микросреды предприятия Анализ микросреды направлен на анализ состояния тех со­ставляющих внешней среды, с которыми предприятие нахо­дится в непосредственном взаимодействии...

Типы конфликтных личностей (Дж. Скотт) Дж. Г. Скотт опирается на типологию Р. М. Брансом, но дополняет её. Они убеждены в своей абсолютной правоте и хотят, чтобы...

Гносеологический оптимизм, скептицизм, агностицизм.разновидности агностицизма Позицию Агностицизм защищает и критический реализм. Один из главных представителей этого направления...

Studopedia.info - Студопедия - 2014-2024 год . (0.009 сек.) русская версия | украинская версия