Студопедия — Подпрограммы – процедуры (Procedure) в языке VHDL
Студопедия Главная Случайная страница Обратная связь

Разделы: Автомобили Астрономия Биология География Дом и сад Другие языки Другое Информатика История Культура Литература Логика Математика Медицина Металлургия Механика Образование Охрана труда Педагогика Политика Право Психология Религия Риторика Социология Спорт Строительство Технология Туризм Физика Философия Финансы Химия Черчение Экология Экономика Электроника

Подпрограммы – процедуры (Procedure) в языке VHDL






1. Доступы к органам брюшной полости, их оценка, анатомическое обоснование, классификация.

2. Лапароцентез и лапаротомия: показания, виды, правила выполнения.

3. Кишечные швы: требования к ним, классификация.

4. Паллиативные операции на желудке.

5. Гастростомии: показания, классификация, техника выполнения.

6. Органосохраняющие операции на желудке.

7. Резекция желудка. Способы Бильрот-1, Бильрот-2 их модификации.

8. Дренирующие операции на желудке.

9. Операции на печени. Типичные и атипичные резекции печени.

10. Методы остановки паренхиматозного кровотечения. Гемостатический шов печени.

11. Доступы к поджелудочной железе.

12. Операции на желчном пузыре: показания, техника выполнения.

13. Операции на общем желчном протоке: показания, техника выполнения.

14. Паллиативные и радикальные операции на тонкой кишке. Виды кишечных анастомозов.

15. Противоестественный задний проход: показания, виды, техника выполнения.

16. Аппендэктомия: оперативные доступы, техника выполнения.

Файл Lekz3_VHDL_Procedure_Red.doc

 

Лекция № 3 (5-й курс)

Вопросы по теме:

 

  1. Объявление подпрограмм процедур
  2. Определение подпрограмм процедур
  3. Вызов подпрограмм процедур
  4. Примеры VHDL проектов основанных на использовании подпрограмм процедур

Подпрограммы – процедуры (Procedure) в языке VHDL

Подпрограмма процедура - это специальный программный комплекс, состоящий из объявления, определения и вызова подпрограммы процедуры дающий возможность включить некоторую автономную часть программного кода, описывающего некоторый фрагмент задачи, в состав головного программного кода.

Итак, подпрограмма-процедура – это специальный программный комплекс, состоящий из объявления, определения и вызова подпрограммы-процедуры, который определяет алгоритм для вычисления значений или описывает поведение некоторой цифровой подсистемы. В отличие от комплекса подпрограмма –функция в комплексе подпрограмма –процедура Вызов подпрограмма -процедуры является оператором.

При работе с комплексом подпрограмма– процедура необходимо четко различать три понятия:

· Объявление процедура;

· Определение процедура;

· Вызов процедура.

Объявление процедуры (Procedure DECLARATION)

Конструкция Объявления процедуры имеет такой синтаксис:

[ metka: ] procedure procedure_name [(formal_parameter_list)]

Как видно из приведенного синтаксиса Объявление процедуры содержит такие конструктивные элементы:

[ metka: ] - метка является не обязательным элементом объявления подпрограммы- процедуры.

Procedure – ключевое слово, определяющее класс подпрограммы языка VHDL;

procedure_name - Имя процедуры. Имя процедуры часто называют идентификатором подпрограммы –процедуры. Имя процедуры располагается после ключевого слова Procedure.

[(formal_parameter_list)] - Список формальных параметров. Он является не обязательным конструктивным элементом. Список формальных параметров может содержать константы, переменные, сигналы и файлы, т.е. программные объекты классов константы, переменные, сигналы и файлы могут использоваться в качестве формальных параметров.. Класс каждого параметра оговаривается соответствующим зарезервированным словом constant, variable, signal или file. Если класс параметра специально не оговорен, то, по умолчанию, он считается constant или variable.

Если в качестве формальных параметров используются константы, переменные, сигналы, то они должны сопровождаться ключевыми словами in, out или inout, оговаривающих режим использования параметра. Ключевыми словами in, out или inout пользователь фактически определяет, является ли параметр входным, выходным или входным/ выходным. Другими словами, режимы in, out или inout определяют направление потока информации, т.е. какие формальные параметры которые могут читаться из процедуры или записываться и использоваться внутри процедуры.

Если в качестве формальных параметров используются константы, переменные и когда режим вида in задан явным образом, но программный класс формального параметра явно не указан, то по умолчанию он считается constant. Когда же режимы вида out или inout заданы явным образом, но программный класс формального параметра явно не указан, то по умолчанию он считается variable.

Заметим, что формальный параметр типа file не сопровождается ключевыми словами указывающим его режим.

При вызове подпрограммы-процедуры формальные параметры заменяются фактическими параметрами. Причем следует соблюдать строгое соответствие между типами формальных и фактических параметров. Если формальный параметр - константа, то фактический параметр должен быть выражением. В случае формальных параметров типа signal, variable или file, фактические параметры должны быть объектами того же самого класса, что и формальные параметры.

Формальные параметры в списке отделяются друг от друга символом;.

Примечание 1: Язык VHDL допускает использовать в теле архитектуры проектируемого цифрового устройства подпрограммы- процедуры без их предварительного объявления.

Примечание 2: Процедура может быть объявлена также без любых параметров.

Примечание 3: Формальным параметрам при Объявления процедуры могутзадаваться начальные значения.

 

Примеры объявлений подпрограмм процедур:

Example 1

procedure Procedure_1 (variable X, Y: inout Real);

 

В этом примере объявление процедуры имеет два формальных параметра: X и Y вещественного типа с режимами inout (двунаправленные режим).

 

Example 2

procedure Proc_1 (constant In1: in Integer; variable O1: out Integer); procedure Proc_2 (signal Sig: inout Bit);

 

В этом примере процедура с идентификатором Proc_1 имеет два формальных параметра: --первый - константа In1, имеющая целочисленный тип и режим in;

-- второй – переменная O1, имеющая целочисленный тип и режим output.

Процедура с идентификатором Proc_2 имеет только один параметр, который является двунаправленным сигналом inout типа BIT.

 

Определение процедуры (procedure DESIGNATE)

Конструкция определения подпрограммы -процедуры имеет такой синтаксис:

-- заголовок определяемой процедуры







Дата добавления: 2015-09-15; просмотров: 1671. Нарушение авторских прав; Мы поможем в написании вашей работы!



Шрифт зодчего Шрифт зодчего состоит из прописных (заглавных), строчных букв и цифр...

Картограммы и картодиаграммы Картограммы и картодиаграммы применяются для изображения географической характеристики изучаемых явлений...

Практические расчеты на срез и смятие При изучении темы обратите внимание на основные расчетные предпосылки и условности расчета...

Функция спроса населения на данный товар Функция спроса населения на данный товар: Qd=7-Р. Функция предложения: Qs= -5+2Р,где...

Огоньки» в основной период В основной период смены могут проводиться три вида «огоньков»: «огонек-анализ», тематический «огонек» и «конфликтный» огонек...

Упражнение Джеффа. Это список вопросов или утверждений, отвечая на которые участник может раскрыть свой внутренний мир перед другими участниками и узнать о других участниках больше...

Влияние первой русской революции 1905-1907 гг. на Казахстан. Революция в России (1905-1907 гг.), дала первый толчок политическому пробуждению трудящихся Казахстана, развитию национально-освободительного рабочего движения против гнета. В Казахстане, находившемся далеко от политических центров Российской империи...

ТЕРМОДИНАМИКА БИОЛОГИЧЕСКИХ СИСТЕМ. 1. Особенности термодинамического метода изучения биологических систем. Основные понятия термодинамики. Термодинамикой называется раздел физики...

Травматическая окклюзия и ее клинические признаки При пародонтите и парадонтозе резистентность тканей пародонта падает...

Подкожное введение сывороток по методу Безредки. С целью предупреждения развития анафилактического шока и других аллергических реак­ций при введении иммунных сывороток используют метод Безредки для определения реакции больного на введение сыворотки...

Studopedia.info - Студопедия - 2014-2024 год . (0.009 сек.) русская версия | украинская версия